论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 16151阅读
  • 38回复

[行业软件]Intel Quartus Prime Pro 21.4.0.67  Suite [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53288
今日发帖
最后登录
2024-07-04
只看楼主 倒序阅读 使用道具 楼主  发表于: 2020-11-24 15:22:14

Intel Quartus Prime Pro Edition 21.x Suite | 206.0 Gb

This user guide describes basic concepts and operation of the Intel® Quartus® Prime Pro Edition design software, including GUI and project structure basics, initial design planning, use of Intel FPGA IP, and migration to Intel® Quartus® Prime Pro Edition. This software provides a complete design environment for the most advanced Intel® Agilex™ , Intel® Stratix® 10, Intel® Arria® 10, and Intel® Cyclone® 10 GX FPGA and SoC designs.


What's New in Intel Quartus Prime Software 20.x


The Quartus Prime Pro Edition Design Software, Version 20.x supports the following device families: Stratix 10, Arria 10, and Cyclone 10 GX. A license is required for all device families except Cyclone 10 GX which does not require a license.

Intel Quartus Prime Design Software is design software that includes everything needed to design for Intel FPGAs, SoCs, and CPLDs. This includes design entry, synthesis to optimization, verification, and simulation. Quartus dramatically increases capabilities on devices with multi-million logic elements, providing designers with an ideal platform for next-generation design opportunities.

Quartus Prime Pro Edition software is optimized to support the advanced features in Intel's next-generation FPGAs and SoCs, starting with the Arria 10 device family. Use the Quartus Prime Pro Edition software when starting a new Arria 10 design or when an Arria 10 design requires features that are only available in the Quartus Prime Pro Edition software.

- Programming support for Arria 10 10AX115 ES3 devices
- A Spectra-Q Hybrid Placer with advanced placement and routing algorithms for more predictable timing closure
- A Spectra-Q Physical Synthesis feature for improved Fmax on designs that require high synthesis effort
- A multi-corner timing visualization feature in the TimeQuest Timing Analyzer
- A logic depth report for early design analysis
- A Periphery to Core Placement and Routing Optimization feature
- Expanded Spectra-Q Synthesis language support for IEEE standards, including SystemVerilog-2005 and VHDL-2008
- The BluePrint Platform Designer for clock and I/O interface planning
- The Rapid Recompile feature now supports Arria 10 devices
- A hierarchical database infrastructure to enable faster and more scalable algorithms for next-generation programmable devices
- Generation of Fitter reports upon completion of each Fitter compilation stage, providing earlier access to design compilation data
- Ability to load post-plan and post-place timing netlists into the TimeQuest Timing Analyzer for earlier analysis, including Synopsys Design Constraints (SDC) verification and clock timing analysis

This presentation goes over the new enhancements and features of the first major software release of 2020, Intel Quartus Prime 20.1. New features of Intel Quartus Prime, Intel Advanced Link Analyzer, improvements made to the High Level Design tools, new support for Intel FPGA devices, configuration & programming updates, new intellectual property, and improvements made to the SoC Embedded Design Suite, and open source updates.







Intel is a world leader in computing innovation. The company designs and builds the essential technologies that serve as the foundation for the world's computing devices. As a leader in corporate responsibility and sustainability, Intel also manufactures the world's first commercially available "conflict-free" microprocessors.

Altera programmable solutions enable designers of electronic systems to rapidly and cost effectively innovate, differentiate and win in their markets. Altera offers FPGA, SoC, CPLD, and complementary technologies, such as power solutions to provide high-value solutions to customers worldwide.

SANTA CLARA, Calif. & SAN JOSE, Calif.– June 1, 2015 – Intel Corporation (NASDAQ: INTC) and Altera Corporation (NASDAQ: ALTR) announced a definitive agreement under which Intel would acquire Altera.

Product: Intel Quartus Prime
Version: Pro Edition 20.x Suite
Supported Architectures: x64
Website Home Page : https://fpgasoftware.intel.com/
Language: english
System Requirements: PC / Linux *
Size: 206.0 Gb


* System Requirements:


Minimum Hardware Requirements
Before installing the Intel Quartus Prime software, ensure your hardware conforms to these requirements. The Intel Quartus Prime software requires:

- A Windows PC or Linux workstation.
- A minimum CPU of 64-bit Intel Nethalem (2008) or an AMD Bulldozer (2011) microarchitecture processor with SSE4.2 instruction set or later.
- A monitor capable of at least 1024 x 768 display resolution
- At least 36 GB of free disk space to contain copies of uncompressed version installation files.

System Requirements
========================
Operating system support
––––––––––––
Intel Quartus Prime Design Suite components support one or more of the following
operating systems:

* Windows 10
* Windows Server 2012 R2
* Windows Server 2016
* Red Hat Enterprise Linux 6.9
* Red Hat Enterprise Linux 7.5
* SUSE Linux Enterprise Server 11
* SUSE Linux Enterprise Server 12.1 SP1
* Ubuntu 14.04 LTS
* Ubuntu 16.04 LTS
* Ubuntu 18.04 LTS

Review the notes that follow to check operating system support for the components that you use.

Notes:
* Only 64-bit operating systems are supported.
* Red Hat Enterprise Linux 7 supports only KDE Desktop Manager.
* Intel Quartus Prime Pro Edition software is not supported on SUSE Linux Enterprise Server 11.
* Intel Quartus Prime Standard and Lite editions are supported on all listed operating systems.
* Intel HLS Compiler Pro Edition is supported only on Windows 10, Red Hat Enterprise Linux, and SUSE Linux Enterprise Server 12 operating systems.
* Intel HLS Compiler Standard Edition is supported only on Windows 10 and RedHat Enterprise Linux 6 operating systems.
* ModelSim-Intel FPGA is supported only on Windows 10 and Red Hat Enterprise Linux operating systems.
. Red Hat Enterprise Linux operating systems require 32-bit library files.
* Nios II EDS Pro and Standard Editions are supported only on Windows 10 and Red Hat Enterprise Linux 6 operating systems.
* DSP Builder for Intel FPGAs Pro and Standard Editions are supported on all listed operating systems.
* Intel Quartus Prime Stand-Alone Programmer Pro and Standard Editions are supported on all listed operating systems.
* Intel SoC FPGA EDS Pro and Standard Editions are supported only on Windows 10, Red Hat Enterprise Linux 6, and Ubuntu 18 operating systems.
. Red Hat Enterprise Linux 6 support requires 32-bit library files.
* Intel FPGA SDK for OpenCL Pro and Standard Editions are supported only on Windows 10, Red Hat Enterprise Linux, and SUSE Linux Enterprise Server 12 operating systems.
* Intel Advanced Link Analyzer is supported only on Windows operating systems.

DSP Builder
Intel(R) Quartus(R) Prime Pro Edition supports MathWorks MATLAB releases 2015b, 2016a, 2016b, 2017a, and 2017b.


本部分内容设定了隐藏,需要回复后才能看到


[ 此帖被pony8000在2022-03-28 16:41重新编辑 ]
软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线seedesam

发帖
312
今日发帖
最后登录
2024-07-05
只看该作者 沙发  发表于: 2020-11-24 20:13:28
感谢分享感谢分享感谢分享感谢分享感谢分享感谢分享
离线guanyongfeng

发帖
908
今日发帖
最后登录
2024-07-02
只看该作者 板凳  发表于: 2020-11-24 22:32:56
支持楼主~!~!~!~
离线tangqianfeng

发帖
2206
今日发帖
最后登录
2024-07-03
只看该作者 地板  发表于: 2021-06-23 07:50:28
kkkkkkkkkkkk
离线dadiming

发帖
29
今日发帖
最后登录
2024-06-18
只看该作者 地下室  发表于: 2022-03-16 16:04:52
支持楼主~!~!~!~
离线pony8000

发帖
53288
今日发帖
最后登录
2024-07-04
只看该作者 5 发表于: 2022-03-28 08:47:48
Intel Quartus Prime Pro 21.4.0.67
软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线kairimai

发帖
1342
今日发帖
最后登录
2024-07-03
只看该作者 6 发表于: 2022-03-28 08:57:28
离线laoyuan20000

发帖
154
今日发帖
最后登录
2024-07-03
只看该作者 7 发表于: 2022-03-28 10:03:35
支持楼主~!~!~!~谢谢分享
离线yusongking

发帖
71
今日发帖
最后登录
2024-05-01
只看该作者 8 发表于: 2022-03-30 08:36:56
re provides a complete design environment for the most advanced Intel® Agilex™ , Intel® Stratix® 10, Intel® Arria® 10, and Intel® Cyclone® 10 GX FPGA and SoC designs.
离线0x5b25

发帖
2
今日发帖
最后登录
2022-04-10
只看该作者 9 发表于: 2022-04-10 14:18:48
Re:Intel Quartus Prime Pro 21.4.0.67  Suite
希望有arm ds的补丁~