论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 12009阅读
  • 14回复

[行业软件]ASAP 7nm PDK v1p7 [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53287
今日发帖
最后登录
2024-06-28
只看楼主 倒序阅读 使用道具 楼主  发表于: 2021-01-14 09:30:34
The Lawrence Clark, an Emeritus Professor in the School of Electrical, is pleased to announce the availability of ASAP 7nm PDK version 1p7. This PDK contains SPICE-compatible FinFET device models (BSIM-CMG), Technology files for Cadence Virtuoso, Design Rule Checker (DRC), Layout vs Schematic Checker (LVS) and Extraction Deck for the 7nm technology node.
Academic research in VLSI design and CAD, especially for advanced technology nodes has been severely limited by the lack of quality process design kit (PDK). Most advanced technology circuit and CAD research employ either SPICE-only predictive technology models or scale 45nm OpenPDK libraries to sub-10 or 7nm node dimensions. These methods omit important effects such as layout-dependent middle-of-line (MOL) parasitics, BEOL parasitics, multiple patterning effects, etc. As a result, even though academics pursue relevant CAD and VLSI research topics, the results and observations are not always reliable. For CAD research, utilizing scaled GDS/LEF layout files from 45nm technology node to sub-14nm dimensions can lead to erroneous conclusions and the researchers might not be even targeting the relevant problems. To bridge this gap, the ASAP7 PDK targeting the 7nm process node was developed in 2016 as a joint collaboration between ARM and Arizona State University for academic use. The PDK is realistic, based on current assumptions for the 7-nm technology node, but is not tied to any specific foundry.
The PDK contains SPICE-compatible FinFET device models (BSIM-CMG), Technology files for Cadence Virtuoso, Design Rule Checker (DRC), Layout vs Schematic Checker (LVS) and Extraction Deck for the 7nm technology node.
Lawrence Clark is an Emeritus Professor in the School of Electrical, Computer and Energy Engineering at Arizona State University. He has approximately 15 years of industy experience at Intel and VLSI Technology Inc. He contributed to a number of miroprocessor and chipset designs and was most recently a principal engineer and circuit design manager for the Xscale microprocessors. He also worked on compact modeling, reliability modeling and CMOS imagers.
His areas of expertise include low power high performance VLSI radiation hardening and harsh environment VLSI CAD and device behavior for VLSI
Product: ASAP 7nm PDK
Version: 1p7 *
Supported Architectures: x64
Website Home Page : http://asap.asu.edu/
Language: english
System Requirements: Linux *
Size: 4.5 Gb

* release info:

asap7PDK_r1p7 : ASAP7 PDK (version 1p7)
asap7sc7p5t_27 : ASAP7 7.5-Track Cell Library (version 27)

此帖售价 29 电魂,已有 7 人购买 [记录] [购买]
购买后,将显示帖子中所有出售内容。
若发现会员采用欺骗的方法获取财富,请立刻举报,我们会对会员处以2-N倍的罚金,严重者封掉ID!
此段为出售的内容,购买后显示





软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线zhuhongfeng

发帖
492
今日发帖
最后登录
2024-06-02
只看该作者 沙发  发表于: 2021-01-14 09:31:11
谢谢分享!!!
离线karaser

发帖
390
今日发帖
最后登录
2024-06-28
只看该作者 板凳  发表于: 2021-01-14 09:49:58
感谢楼主分享
离线mypwjclu

发帖
3581
今日发帖
最后登录
2024-06-28
只看该作者 地板  发表于: 2021-01-14 10:03:42
支持楼主的分享!!!
离线geatty

发帖
228
今日发帖
最后登录
2023-05-31
只看该作者 地下室  发表于: 2021-01-14 11:40:56
谢谢分享,精彩不断
离线ganjun2001

发帖
3279
今日发帖
最后登录
2024-06-29
只看该作者 5 发表于: 2021-01-14 12:57:55
这个东西有意思啊
软件下载咨询 sdbeta@qq.com
 

发帖
1648
今日发帖
最后登录
2024-06-28
只看该作者 6 发表于: 2021-01-14 15:10:04
好像还不错,嘻嘻嘻
离线zhaoyafei19

发帖
597
今日发帖
最后登录
2024-06-29
只看该作者 7 发表于: 2021-01-14 20:34:48
谢谢分享!!!
离线cntup

发帖
1562
今日发帖
最后登录
2024-06-07
只看该作者 8 发表于: 2021-01-16 15:39:58
Re:ASAP 7nm PDK v1p7

发帖
1648
今日发帖
最后登录
2024-06-28
只看该作者 9 发表于: 2021-01-18 09:10:21
谢谢楼主分享