论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 30447阅读
  • 86回复

[行业软件]Cadence INNOVUS 21.17.000-ISR7 [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53290
今日发帖
最后登录
2024-07-07
只看楼主 倒序阅读 使用道具 楼主  发表于: 2021-11-10 09:35:44

Cadence INNOVUS version 21  3.4 Gb
Cadence Design Systems, Inc. , the leader in global electronic design innovation, has unveiled INNOVUS 21.17.000-ISR7 is next-generation physical implementation solution that enables system-on-chip (SoC) developers to deliver designs with best-in-class power, performance and area (PPA) while accelerating time to market.
CCRs Fixed in Release 21.1 ISR7CCMPR02768014 Hang issue after showing useful skew
CCMPR02763965 routeDesign SEGV
CCMPR02762770 Crash in routing
CCMPR02760206 editAddTrimMetal adds incorrect CM0 trim shape color when inst is mask_shift
CCMPR02759206 The add_stripes command is creating P/G stripes outside the rectilinear core boundary
CCMPR02758807 Objects of type guiPoly cannot be moved
CCMPR02758788 NanoRoute/verify_drc.
CCMPR02757033 Innovus recognizes wrong via group
CCMPR02756955 route_eco -fix_drc causing off Grid Trim Center violations
CCMPR02756628 "editPowerVia" does not consider SMAC(SAMEMETALALIGNEDCUT) violation during inserting post staple via
CCMPR02756289 ecoRoute leaves some CM0 patch wires DRC violations
CCMPR02756282 add_target_pg is removing existing wires and vias which is causing extra opens
CCMPR02755977 Innovus does not report a violation
CCMPR02755424 Column-mode secondary PG causing MaxViaStack violations even with -shape COREWIRE
CCMPR02755334 Column-mode secondary PG missing some vias on upper layers
CCMPR02755322 read_power_intent should support add_supply_state in UPF 3.0
CCMPR02755319 Run time is much longer when using 'set_dc_sources power_switch' in a design without power switches
CCMPR02754962 Observing high runtime for defOut -selected -with_shield -routing
CCMPR02754869 Tool is not able to fix Hold Violation on Q to SI path in design if net connected to different power domain cells
CCMPR02754160 When inserted passive fill, another mask's X3 trim is overlapped on the existing X3 Trim
CCMPR02754017 The check_place command causes instances with place_status unplaced become placed
CCMPR02754013 Fill gap occurs due to not aware edge constraint of rectilinear cell
CCMPR02753251 Create a new process node
CCMPR02753058 passiveFill does not consider obstructs by mask and creates trims on trim blk randomly
CCMPR02752675 Wrong voltage libraries picked for AON cells
CCMPR02752632 NRHF local build does not finish PG routing more than 6 hours for all PG nets 1 shot test
CCMPR02752388 verify_drc reports a false D12 MAR violation
CCMPR02752348 route_opt_design crash at the start of global routing iteration 6
CCMPR02752050 check_macro_place_constraint fails with **ERROR: (IMPTCM-48): narrowChannel is not a legal option for command
CCMPR02750835 Backside clock routing results in SEGV during the NR import stage
CCMPR02750801 ecoRoute memory overshoot during detail route and getting killed
CCMPR02750697 deletePowerSwitch -instanceList is deleting the connections for other PS which are not related to the deleted PS
CCMPR02750490 EndOfLine_Keepout DRCs coming over m1 in Innovus
CCMPR02750487 False report of inst overlap within setup_instance_pin_pitch_access()
CCMPR02750067 Why does the ring pin of IO filler cell connect to bump when it does viewBumpConnection?
CCMPR02749383 SE to SE end of line spacing violation using native tool command
CCMPR02748704 editPowerVia -add_vias created overlapping vias between M15 and AP
CCMPR02748454 Hang/Unable to complete adding pre staple V1 via between M1 and M2 power rails
CCMPR02748011 Random power improvements with minor change in netlists
CCMPR02747933 Tool flags "Wire width on non-uniform track" violation on port with wide width on NDR Track
CCMPR02747821 DIAG during place_opt_design step
CCMPR02747777 The X1 trim violation not fixed by "ecoRoute -fix_filler_drc_with_patch_only" after insertion filler
CCMPR02747589 add_target_pg used for secondary PG routing not handling maxViaStack rule correctly
CCMPR02747580 check_place flagging false Diff Core Edge Length violation
CCMPR02747559 Make Adaptive Density Function (V2) default
CCMPR02747466 Tool is exiting during the saveNetlist command
CCMPR02747456 Run crashing during the post route opt step
CCMPR02747255 Global placement crash with 32 local CPU
CCMPR02747175 Multibit combo merging/split SEGV
CCMPR02746954 ecoRoute -fix_filler_drc_with_patch_only cannot fix M1 violation on DCAP cells
CCMPR02746935 Add an exp option to have area crr honor power
CCMPR02746796 identify_physical_power_domains fails when extra_supply is an empty list
CCMPR02746537 IO pin display not visible issue
CCMPR02746417 Innovus tQRC extraction SEGV with backside metal extraction at set_used_viamap_vias
CCMPR02745926 streamOut is consuming 5X runtime
CCMPR02745730 Trim layer GUI support request
CCMPR02745709 Passivefill support request
CCMPR02745706 Innovus commit_partition not creating physical ports for special nets during pushdown
CCMPR02745654 Crash at the CTS stage
CCMPR02745613 -flatten_bus flag causes write_netlist -include_pg to use 1'b0 instead of vss
CCMPR02745383 place_design -noPrePlaceOpt ERROR (IMPSP-190) with FP mode after checkPlace
CCMPR02745280 M2 off_trim_grid from NR and not Verify
CCMPR02745056 routeDesign crash during data preparation
CCMPR02744892 Crash during ecoRoute -fix_drc
CCMPR02744744 assembleDesign not transforming trim shapes in the block
CCMPR02744646 place_opt_design crashes when via pillars are assigned
CCMPR02744159 Filler gap violation: add_fillers left the filler gap and trigger implant/pre-route violations
CCMPR02744137 Unable to create PG stripe over partition pins
CCMPR02743893 Router creating shorts when large inverter requires via pillars on input and output
CCMPR02743615 optDesign -postCTS hang in 21.15 & 22.30
CCMPR02743466 Make eDR default
CCMPR02743352 DRCs when routing next to cell with M3 pins because router used wrong tracks
CCMPR02742938 addFiller adding huge number of fillers when there are no vertical max stack violations
CCMPR02742746 Routing short with Innovus
CCMPR02742665 Non-fatal error during TQuantus extraction inside Innovus
CCMPR02742645 Routing creating short with routing blockage
CCMPR02742392 deleteRoutingHalo complains error IMPSYC-2210 and fails
CCMPR02742123 M0 Stub routing has longer M0 routes
CCMPR02741898 Need fcroute to route 45deg with short distant
CCMPR02741880 SEGV during routeopt
CCMPR02741832 write_lef_abstract freezes or too slow on bump design
CCMPR02741563 There are a lot of messages about DIAG when refinePlace
CCMPR02741400 Tied Net has Multiple fingers connected by same layer jogging causes Directional Span Length Spacing
CCMPR02741041 False enclosure DRC violation issue
CCMPR02740987 addPowerSwitch crash
CCMPR02740954 endcap tap swap fails if power switches inserted before well tap
CCMPR02740891 Diff Layer Cut Spacing (VIA5 to VIA6 spacing DRCs)
CCMPR02740889 Stack trace error in the postCTS stage
CCMPR02740744 route_design creating EndOfLine Keepout DRC violations
CCMPR02740567 Timer update during PBA ecoRoute
CCMPR02740529 M2 Shorts caused
CCMPR02740456 [SEGV when querying macro after populating data structures
CCMPR02739904 Crash during the finish stage
CCMPR02739859 iSpatial left unloaded buffers after MB merging
CCMPR02739804 Observing crash during the addEndCap command
CCMPR02739292 Crash during VSS power hookup insertion
CCMPR02738788 Hang issue on global routing with shielding done on clock spine net
CCMPR02738051 Innovus crashes at the Place stage
CCMPR02738033 Wrong operation of editPowerVia according to number of CPU count
CCMPR02738007 ViewBumpConnection is not working properly
CCMPR02737980 Remove existing vias when DRC occurs between vias during editAddRoute
CCMPR02737932 Tool hangs after first iteration of optDesign and ecoRoute call in routeOpt
CCMPR02737875 add_target_pg false WARN: M3 spacing(0.060000) is less than the minimum parallel spacing(0.087000) on layer M3
CCMPR02737846 dbNetExtrRCSummary reporting resistance 0 after clock
CCMPR02737302 False IMPSP-376 alarm
CCMPR02737252 Remove assign is adding buffers in wrong domain causing **ERROR: (IMPCCOPT-1044)
CCMPR02736985 place_opt_design crashes during global placement
CCMPR02736702 POD is crashing with power effort set to none
CCMPR02736520 add_target_pg is missing via when choosing incorrect track for connection
CCMPR02736501 MaxViaStack violations on Clock Shield nets
CCMPR02736114 add_target_pg used for secPG routing needs user option to choose shape type for added wires and vias
CCMPR02735984 route_pg causes IMPDF-1064 error
CCMPR02735494 Reduce the runtime of "ecoRoute -fix_filler_drc_with_patch_only"
CCMPR02735334 verify_drc does not mark violations
CCMPR02735331 Flag false MAXVIASTACK violations
CCMPR02735320 place_opt_design not able to reorder scan chain with back2back ordered section
CCMPR02735154 D10 Metal shorts in PG with the addStripe command
CCMPR02735028 refinePlace flag False Pin Access violations
CCMPR02734326 Innovus crashes at the check_library command
CCMPR02733563 SEGV during routeOpt
CCMPR02733404 NDR bottom-preferred layer demotion causing Timing Degradation
CCMPR02733313 Make floorplan "isCore2Io" WRITE-able
CCMPR02733311 SEGV when running the write_physical_context_data command when macros involved
CCMPR02733302 Secondary PG is failing to connect some tap cell pins
CCMPR02733050 addRepeaterByRule adding back to back buffers
CCMPR02732586 **ERROR: Failed to re-stitch scan chain, *** BAD SCAN ASSIGNMENT ***
CCMPR02732546 Crash in editChangeVia -selected
CCMPR02732539 Pin placements are getting dropped after the partition command
CCMPR02731965 Presence of pre-placed cells leaves untapped areas
CCMPR02731841 verifyProcessAntenna crashes
CCMPR02731839 Unexpected Incompatible Resizing done" in routeOpt
CCMPR02731820 Excessive runtime in CommonAndConstantInputSimplification transform in areaOpt
CCMPR02731799 1CPP gap is required if RX transition between cells is more than 10nm
CCMPR02731639 Total Power degradation when enabling Power Density Map
CCMPR02731437 Tool is not able to fix hold violation
CCMPR02731198 Isolation cells moved to opposite end of block from run-to-run
CCMPR02731129 Seeing DRCs after via pillar implementation
CCMPR02730743 Custom Box/gui_shape cannot be resized
CCMPR02730510 Crash during route_design
CCMPR02730480 Innovus crashes in route_pg
CCMPR02730394 FlashPG crash with VARIABLE numerical expression
CCMPR02730349 NRHF side shielding got SEGV with parallel routing
CCMPR02729978 Enhancement to snap wires to track with certain mask number
CCMPR02729907 Standard cell rails are clipped near welltap cell power pins and switch cell power pins
CCMPR02729838 Routing DRCs when accessing pins due to using incorrect track
CCMPR02729434 Innovus unplacing the FIXED IO pins
CCMPR02729307 False missing VIA reported when PG wires and block PG pins overlapped
CCMPR02729132 Crash during syn_opt spatial
CCMPR02728696 editPowerVia does not choose the appropriate via type
CCMPR02728461 SEGV during route_opt_design -ideal_clock
CCMPR02728182 Tool is not honoring add_fillers_with_drc - false while doing add_fillers
CCMPR02727899 SEGV by the write_nsw_transition_map_file command
CCMPR02727800 TQuantus SEGV with backside routing in design
CCMPR02727795 Tool is not honoring must_join settings
CCMPR02727710 Detour routing around staggered bus routing when via room available
CCMPR02727241 RouteDesign crash
CCMPR02727147 edit_pin is unable to use backside layers for pin assignment
CCMPR02727141 Pre cts does not fix max transition at best misses gas station in some case
CCMPR02727133 Antenna violation is detected by check_antenna but missed by route_global_detail
CCMPR02727112 route_opt_design ERROR with eDR set to true
CCMPR02727099 Stylus not showing Clone partition of type fence and blackbox
CCMPR02727049 Buffering fail due to power domain crossing
CCMPR02726869 Legalization problem and DIAGS messages in CCOpt
CCMPR02726640 Tool is creating "adjacent_cut_four_cut" DRC while doing add_fillers
CCMPR02726543 Occurred M1 Trim_To_Trim_Spacing violation in particular cell type after NanoRoute
CCMPR02726272 ecoRoute is not fixing M2 EOL DRCs using patch metal/wire
CCMPR02726265 Hang is seen in ccopt_design
CCMPR02726254 Innovus crashed when reading the dbase written out by the same version of the Innovus in the previous session
CCMPR02726126 Missing M6 when change width on different metalPattern
CCMPR02725828 addRepeaterByRule crash
CCMPR02725542 Top/bottom termination cell insertion
CCMPR02725251 route_design -track_opt having ~26 CSHORTS
CCMPR02725236 M0 patch extension from M0 pins that seemed unnecessary
CCMPR02724950 add_fillers fails to fill 1 site gaps
CCMPR02724797 saveDesign causes crash
CCMPR02724501 High runtime for GateDecomposition transform
CCMPR02724217 Tool crash (internal (SEGV) error/signal) in ccopt_design
CCMPR02724179 Metal_Color_Change violations on METAL2
CCMPR02723894 Default option tuning for NSwidth match
CCMPR02723750 Enhancement of missing VIA checking
CCMPR02723647 ERROR (NRDB-2031) LAYER m0 has advanced min area rule but no default min area rule, from route_design
CCMPR02723322 report_metrics crashed
CCMPR02723260 Tool does not honor place_detail_legalization_inst_gap for rectilinear cell cutout edges
CCMPR02722813 Need to add wire declaration for FE_UNCONNECTED nets
CCMPR02722724 opt_design -post_route Metal_Color_Change/Trim_Color DRCs tool swaps RA to GA cell
CCMPR02722129 reinforce_pg command option -rail_analysis_directory does not work
CCMPR02721862 commit_power_intent adding additional ISO cells in Innovus db. As per customer these are not needed
CCMPR02721208 Core dump during the global route step
CCMPR02721031 Very hard to know which clustering problems are runtime problems - follow on
CCMPR02720775 M0 patches are not fully connected to the boundaries of same DCAP cells after routeDesign -passiveFill
CCMPR02720757 Remove write/read_codesign_die_abstract 3DIC license check
CCMPR02720646 Via pillar has MAR violation on it
CCMPR02720157 MixedPlace congestions issue and DRC issue for pin access
CCMPR02719735 Crash during routeDesign -trackOpt
CCMPR02719313 Abnormal/large m0 pin-probe extension creates DRCs
CCMPR02719264 add_well_taps not handling non-macro placement obstructions
CCMPR02718780 IMPESI-3201 error seen in testing
CCMPR02718639 SEGV error during loading DB in Innovus
CCMPR02718538 Worse routing results (more SHORT vios)
CCMPR02718158 The M2 Mar DRC occur as connecting shielding to the M1 power rail
CCMPR02717819 Pre-routed CLK nets with resistance 0 in the clock stage
CCMPR02717401 RnD build unable to route all 96 nets with few bumps being out of order
CCMPR02717336 RC scaling in QRC causing SPEF reading errors in Innovus signoff extraction mode
CCMPR02717044 False pre-route DRC are reported by checkPlace
CCMPR02716656 POD flop clock latency issue when using ECF
CCMPR02716181 Spacing violations between followpin wire and prerouting
CCMPR02715542 M1 pin geometry beyond cell boundary support request
CCMPR02715423 Global variable becoming -nan after ecoRoute
CCMPR02715289 Missing connections on GND power pins after sroute (followpin wires)
CCMPR02715254 optDesign -postRoute issues DIAG messages about markToBeDeleted, coeSubTimingGraph
CCMPR02715207 Reverse 2 metal pattern in FOLLOW statement will not aligned
CCMPR02715118 Tool crash in initial detail routing in special net shape process
CCMPR02715105 add_fillers leaves gaps
CCMPR02715068 set_cell_padding requires Innovus license to execute
CCMPR02715062 check_place not catching NSWidth violations between single and double height cell
CCMPR02714900 The attachIOBuffer does not finish when inserting buffer to a high fanout port
CCMPR02714888 Routing does not fix 44 Minimal_Area violations among total of 1370 violations
CCMPR02714691 Anomalous check_library behavior for regarray cell
CCMPR02714612 flashPG engine did not connect the PD ring to nearby core ring
CCMPR02714585 Crash in the routeopt stage during restore timing graph
CCMPR02714584 flashPG engine creates overlapping staples when step-distance value is shorter than the staple's length
CCMPR02714103 Off grid trim vios
CCMPR02712473 opt_design -post_route taking long runtime
CCMPR02712443 Need to support RX transition filler
CCMPR02711802 ecoAddRepeater -spreadDist option has bugs
CCMPR02711482 routeDesign routes the clock net jogging on lower layers to make the bad latency and timing
CCMPR02710060 DRC violations seen by NanoRouter not matching with the DRCs seen with check_drc
CCMPR02709956 oa_cut_rows cuts not all rows under PADs
CCMPR02709432 merge_hierarchical_def FILL3 CM0 lost issue testcase
CCMPR02709022 Invalid scandef written out by SMART hierarchical flow
CCMPR02708563 saveNetlist -includePowerGround expands a signal bus producing netlist which does not elab
CCMPR02708393 Violation still exist after editPowerVia
CCMPR02708032 route_design -track_opt hangs for more than three days
CCMPR02706618 check_place reports false implant violations where implant layer overlaps with placement blockage
CCMPR02706602 Need hard constraints to force Internal nets' DR not to cross PD
CCMPR02705947 M1 Trim spacing violations over FILL1 cells
CCMPR02705519 ISO cell placed very far from PD boundary
CCMPR02705232 route_pg finishing with huge VIA3 cut DRCs
CCMPR02705210 addFiller adding decaps under macro halo
CCMPR02705209 Violations for m0 Off_Trim_Grid shapes, missed by NR/Verify due to negative grid index
CCMPR02705125 Huge negative net delay after CTS, positive net delay after NR
CCMPR02704796 To adjust PRL calculation for FORBIDDENSPACING rule per new DRM interpretation
CCMPR02704454 EEQ cells cause SKP behavior changed and led to worse PPA in the end of POD
CCMPR02703989 Crash during syn_opt -spatial
CCMPR02703870 Long CTS runtime during MISC section of clock clustering
CCMPR02703743 update_power_vias fails to put in via, previous versions do, VIA is required in these locations
CCMPR02703376 ViaGen not honoring the SAMEVIA spacing, using the normal spacing instead
CCMPR02703163 Violation at boundary should be based on violation marker instead of cut shape
CCMPR02702350 Option for alignment of WellTap and Top/bottom termination cell
CCMPR02701891 Stylus vivid format to enable side by side object like html
CCMPR02701196 TQuantus and signoff Quantus spefs are not matching. The scaling factors are huge
CCMPR02700555 Enhancement for flashPG to allow stripes abutment when it is same net, same width, and same track
CCMPR02700554 Enhancement for flashPG engine to use the CELL's origin as the start offset
CCMPR02699068 add support for setEditMode -keep_via to allow control of VIA deletion when removing wire by deleteSelectFromFplan
CCMPR02698552 editPowerVia does not work for the object outside die area
CCMPR02697781 FIB cell routing creating DRC with macro pins
CCMPR02697716 Huge CCOPT runtime issue
CCMPR02695549 H-tree synthesis fails to meet transition targets
CCMPR02694823 Inverter chain pair after preserve -hierarchical port
CCMPR02693469 Write netlist creates PG ports
CCMPR02692241 CCOpt -cts long run time during source shield net spec and during “Restoring CCOpt stage"
CCMPR02691307 LEQ compatible cells. LEQ-aware commands to capture eligible swap
CCMPR02690876 Sub-optimal M0 routing of libs for M0 pins. Tool should flip inst to align M0 pins and place closer
CCMPR02690466 Incorrect module density in place_opt_design
CCMPR02690110 check_drc had large increase in runtime when layer tech LEF "area" increased
CCMPR02689809 EOLK violation not detected by Innovus
CCMPR02689165 Buffer addition is not happening using the addRepeaterByRule command
CCMPR02687982 Lower MBFF ratio
CCMPR02684145 Innovus is not fixing drv violations at the end of route_opt_design due to the reason gain is not enough
CCMPR02682558 Guide constraint for group:svt/PD_SVT causing overlaps in the design
CCMPR02681749 Issue while creating UFC rule because obj type as power_domains is not applicable
CCMPR02681737 Enhancement to phase assignment on macro block pins and associated tie and terminate cells
CCMPR02681723 Enhancement of incremental mode to phase assignment
CCMPR02675297 Checks failing on few clock and data nets
CCMPR02674379 Need the congRepair step when placing ISO cells near the power domain boundary
CCMPR02668939 verifyEndCap report false alarm
CCMPR02668102 Use wide metal for all transition layers inside via pillars
CCMPR02665549 Adding all custom proc icons in single drop down list
CCMPR02661641 opt_design -post_route -hold -incr met SEGV
CCMPR02659051 Violations seen at o/p of clock buffer pin due to clk route jogs at lower layer
CCMPR02654634 Engine DRC violations are created when running add_reinforce_pg
CCMPR02654488 Innovus TQuantus extraction does not consider RC impact from floating metalFill
CCMPR02654147 Timing jump after updating same viewDefinition.tcl and re-extract RC
CCMPR02654115 flashPG engine needs to recognize the via defined by add_via_definition
CCMPR02653457 Enhancement for LVL/ISO placement during place_opt_design
CCMPR02652375 verify_drc to check full_fill trim rule
CCMPR02652026 route_opt_design crashes
CCMPR02647810 Flop merge should not merge flops at different levels in level-based CTS flows
CCMPR02646977 Need 45deg routing to the pad
CCMPR02643600 V2 VGS violation is not detected by checkPlace
CCMPR02643029 Need to be improved in write_lef_library to include all the vias from generateVias command
CCMPR02639617 Long run time in TNS Opt
CCMPR02638794 Timing degrade in the iSpatial power reclaim stage
CCMPR02628318 NanoRoute Post Route wire spreading creating thousands of CutEol violations
CCMPR02625643 writeFPlanScript does not export soft guides
CCMPR02617120 Observing crash during the addRepeaterByRule command
CCMPR02616596 flashPG enhancement to auto create PG pins when its stripes/followpins edge touch the design boundary
CCMPR02614578 Innovus stops after 2nd Iteration during the place_opt_design step
CCMPR02605225 Incorrect extraction of via pillar
CCMPR02604629 setRouteMode -earlyGlobalUseDoubleCutViaOnly Common UI equivalent
CCMPR02603789 Unbuffered nets are not been optimization even after ETF been applied before POD
CCMPR02597947 Replace diode instances To tap cell instances in the shielding log
CCMPR02589860 opt_clock_skew -post_route removes the existing path groups
CCMPR02578661 Interpolation in power analysis in Innovus
CCMPR02574222 Enhance create_gui_text with new option -orient
CCMPR02495858 Kit update
CCMPR02486269 Crash in verify_connectivity
CCMPR02485318 Question about check_floorplan
CCMPR02471954 get_message -id <IDNAME> -count is busted
CCMPR02258863 NR is not able to connect shield to powerStripe with correct number of cut
CCMPR02159896 ecoSplitFlop not splitting multibit flop
CCMPR02157972 checkDesign reports monotonous information, which increases the file size
CCMPR02100838 Improve on warning message when adding an instance back to the instance group that it is already in
CCMPR01999232 writeFPlanScript does not write out

Cadence Design Systems, Inc. , the leader in global electronic design innovation, has unveiled INNOVUS 21.10.000 is part of the broader Cadence digital and signoff suite, which provides customers with an integrated full flow, delivering a predictable path to design closure and also supports Cadence’s Intelligent System Design strategy, accelerating SoC design excellence.


CCRs Fixed in Release 20.10 RTM

CCMPR02229629 BUMP to Via spacing not honoring blockage spacing
CCMPR02213338 oasisOut broken in 19.13
CCMPR02210511 SEGV in clkPRO
CCMPR02207769 Internal error messages during optDesign at PRO stage
CCMPR02202724 ecoRoute not able to fix M1 DRC that can be fixed manually
CCMPR02201772 Preferred layer effort on clock nets not honored during ccopt_design V2
CCMPR02199590 saveDesign crash in 19.13-e033_1 (during saving pin access .apa file)
CCMPR02197202 SEGV observed during saveDesign
CCMPR02196641 tquantus signature flow hangs on top level design
CCMPR02195393 verifyPowerDomain -aoBufferType returns unwanted information
CCMPR02195093 Mixed placer places all HB with R90 orientation, although R90 R270 is allowed
CCMPR02194146 refine_macro_place hang
CCMPR02193823 Crash while running Floorplan tag
CCMPR02192205 fix_floorplan creates a rectangular routing blockage over a rectilinear shape
CCMPR02192195 SEGV observed during synthesize_ccopt_flexible_htrees
CCMPR02192020 SEGV during clock detail routing with 19.11
CCMPR02191939 Innovus crash during layer assignment due to route_design_top_routing_layer -1
CCMPR02191937 check_tracks crash due to route_design_top_routing_layer -1
CCMPR02191827 SEGV when compressedPGDB
CCMPR02190458 time_design shows more max_tran violations than report_constraints
CCMPR02189957 Memory jump during area/power/drv/setup Reclaim of place_opt_design
CCMPR02187977 verify_drc SEGV on IO Block
CCMPR02187892 place_opt_design crashes
CCMPR02186949 Innovus Timing Path Analyzer - Path SDC reports wrong SDC
CCMPR02186533 tQuantus extraction with compressed PG very slow
CCMPR02186528 SEGV during route_design
CCMPR02186365 addRepeaterByRule hangs
CCMPR02185337 saveDesign crashing in 18.16-e018_1
CCMPR02184701 Running flow tool Innovus crash at route
CCMPR02184668 Crash while running build_db target
CCMPR02183510 Add warning about not honoring user ggrid size setting if it will cause overflow
CCMPR02183022 Tool hangs at PRO hold stage during timing analysis
CCMPR02182632 optDesign -postRoute is crashing while clock DRV fixing
CCMPR02182538 prects segv: lsUsefulSkewToolboxCL.cpp dumpSDCInfoForDisabledViews
CCMPR02182076 Via Pillar leaves avoidable EndofLine SameMask spacing violations in-between
CCMPR02181237 checkplace does not report a strict point touch violation in the presence of placement blockage
CCMPR02181038 CTS routing Struck due to Memory Corrupted Error
CCMPR02180598 add_stripes causes DRCs by choosing the wrong VIA model
CCMPR02180502 Divider flop D pin balancing in CTS
CCMPR02179953 setAddStripeMode -keep_pitch_after_snap false is a part of PG script and cannot be converted to common UI
CCMPR02179239 Cannot create stripe with width upper that design height when design is thin
CCMPR02178155 Preferred layer effort on Clock nets not honored during ccopt_design
CCMPR02178143 Placement blockages shifted with createPlaceBlockage -boxList
CCMPR02178078 write_stream issues sub-block during GDSII export at top level not done
CCMPR02177656 saveDesign crashes in dbsSaveDesignSymbolTable::getPGTermId after deleteInst of TAP cells
CCMPR02177466 ecoRoute Scalability Statistics table is missing components
CCMPR02177350 route_secondary_pg_pins runtime degradation 18.14 vs 19.12
CCMPR02176589 routePGAsSignalRoute routed to lower layers after removing BottomRoutingLayer 4 and using preferred layer attribute
CCMPR02176537 Type fills routing blockage is not properly handled by verify_drc and verifyGeomentry commands
CCMPR02176194 Secondary PG high resistance problem
CCMPR02175736 Error when trying to run optDesign -postRoute -targeted -incr
CCMPR02175576 add_well_taps_insert_cells not accounting for last well_tap to boundary check
CCMPR02175545 set_flowkit_write_db_args does not accept new write_db options
CCMPR02175212 Innovus 19.11 got crash at sroute
CCMPR02175180 Innovus tool crashes during refinePlace
CCMPR02174968 write_multi_bit_flop_mapping_file needs to be able to direct output to a location
CCMPR02174964 gui_bind_key command sourced through GUI Menu tab is causing error IMPQTF-4044
CCMPR02174278 sroute do not create followpin connection for powerNet defined as bus
CCMPR02174256 Routing QOR is much degraded in 18.1x as compared to 17.1x
CCMPR02174227 powerVia enclosure does not match stripe width
CCMPR02173977 Another unspecifyIlm crash when ILM and UPF is used
CCMPR02173882 unflattenIlm crashed with 19.11 and 19.12
CCMPR02173861 Placeopt job is stuck while executing the place_opt_design command
CCMPR02173108 write_lef_library dumps out integer 0 for MINSPANLENGTH instead of 0.000
CCMPR02173067 M3 pins are not aligned with the tracks
CCMPR02172322 SEGV user defined attribute with set function
CCMPR02169916 The oaPurpose argument in add_text command does not work
CCMPR02169688 Empty '+ PORT' statements in DEF after delete_obj $thePortShapes
CCMPR02169625 clock_design runaway memory consumption during reducing clock tree power
CCMPR02169420 Innovus 19.11 got crash with place_opt_design -opt
CCMPR02167674 ecoDesign does not appear to read previously saved metrics and hence not appending to previous metrics
CCMPR02167436 During iSpatial flow in genus, Innovus terminated by SEGV while reading timing constraints
CCMPR02167335 Huge run Time during globalDetailRoute at detail pin access analysis
CCMPR02167209 setobjFPlanPolygon command to give warning/error message to improve usability
CCMPR02166582 Need method in verify_drc to ignore or override cell density checks
CCMPR02166218 globalDetailRoute runtime is too high for top level
CCMPR02166025 colorizeGeometry within placeDesign takes close to 5hrs
CCMPR02165892 Blocking issue for optDesign -trackOpt: tQuantus fails because of wrong auto mapping file while iQuantus runs fine
CCMPR02165496 Chip Top Stripegen Run time increases in P3 compared to P2
CCMPR02165436 CreateRow/initCoreRow creates rows outside core area
CCMPR02164744 addStackingVia on nets with NDR attribute fail with no Via Connection
CCMPR02164631 set_ideal_net crashes with DPO list
CCMPR02164462 route_flip_chip causes DRCs due to wrong way routing
CCMPR02164016 defIn/defOut runtime and memory issue
CCMPR02163872 Stack trace during clock_design
CCMPR02163206 route_special does not route over some endcaps
CCMPR02163202 oasisOut properties missing in 19.1*
CCMPR02162910 Innovus is not fixing Hold violations due to the fake congestion it's seeing during PRO step
CCMPR02162759 globalNetConnect does not report any errors/warning even though those pins does not exist
CCMPR02161151 Different pin placement results with assign_pins_edit_in_batch true vs false
CCMPR02160560 19.11/19.12 not inserting level shifters, UPF was working in 18.14/18.15
CCMPR02160518 Innovus 19.11 SEGV when I try to close a Kit menu
CCMPR02159270 Flattening connects wires of local power nets together
CCMPR02159151 Block crashes during ccopt_design in 19.12-e030_1 version
CCMPR02158986 The routeDesign -viaOpt fails to change single-cut to Multi-cut via
CCMPR02158869 editPowerVia to avoid using stack via
CCMPR02158434 Chip Top Run time increases in P3 compared to P2 for all Floorpaln flow Nodes
CCMPR02158243 timing_enable_multi_threaded_reporting set at false by route_design
CCMPR02157856 Zero Spacing OBS on non-orthogonal cut layer is showing false spacing violations
CCMPR02157708 editPowerVia -split_long_via is inconsistent in dropping PG vias in multiple rows
CCMPR02157331 Innovus did not keep terminals locations when creating a new logical Hierarchy
CCMPR02156819 Add jog_connect -layer option to move wire drop-down menu
CCMPR02156802 Miscorrelation between the EditMode setting and the GUI window
CCMPR02155959 gui_highlight - instant crash with invalid pattern
CCMPR02155957 Innovus is getting stuck at globalDetailRoute during Generating timing data
CCMPR02155385 Crash during routeDesign
CCMPR02153771 Innovus is getting crashed while running 'addWellTap'
CCMPR02153463 False VT violations reported by Innovus
CCMPR02151053 Innovus placeSpareModule command crash
CCMPR02150717 Nets with preferred bottom layer = 12 routed on 4 and router does not connect to top of via pillar stack
CCMPR02150607 Loading floorplan file in restoreDesign with design that was properly saved results in error IMPFP-707
CCMPR02150427 fix_ufc not following the macro profile when cutting ROWS around a multi-linear macro
CCMPR02150367 Massive number of IMPSP-5225 messages causing terabytes of log even when message_limit is 20
CCMPR02150268 unspecifyIlm crash when ILM and UPF used
CCMPR02149897 False parallel run length spacing in Innovus
CCMPR02149012 Flop merging left dangling instances in netlist that are not removed
CCMPR02148290 add_fillers corrupting y_flip_type
CCMPR02147778 editPowerVia long runtime
CCMPR02147686 fcroute length matching using the constraint file
CCMPR02147045 Right mouse click on cell obstruction results in SEGV
CCMPR02146910 ecoAddRepeater is crashing with hinstGuide option
CCMPR02146651 selectSecondaryPGNet issues when secondary PG has two pin shapes
CCMPR02146297 Setting opt_fix_hold_allow_setup_tns_degradation option to true is degrading Setup WNS during postCTS hold fix
CCMPR02146294 Innovus SEGV during write_db
CCMPR02145977 Tool is crashing during the ecoRoute step in Innovus
CCMPR02145497 ccopt_design long runtime in clustering
CCMPR02145489 Bug in error message IMPOPT-7027
CCMPR02145382 report_hidden_usage hangs, no return
CCMPR02143882 High DRC count seen with binary when run on UHD libs
CCMPR02143374 Innovus crash (seg fault) when attempting to overwrite existing OA library
CCMPR02143352 19.12 breaks scan chains during MBFF merge/split
CCMPR02143189 New Command to support sizing up/Down the bus bit
CCMPR02143110 MergeHierDef drops the pin shape of the block if RDL's DEF presented and caused I/O net to be skipped in signal EM analysis
CCMPR02143008 synthesize_ccopt_flexible_htrees hang
CCMPR02142308 addStripe hangs
CCMPR02142230 Innovus leaving many DRCs after routeDesign on a CTS DB
CCMPR02141093 Fatal error out during restore partition design.
CCMPR02140636 restoreDesign removing GNCs of secondary pgPin of cells when saved design executed with the globalNetConnect command
CCMPR02140403 saveDesign crash at postcts when ILM and UPF is used
CCMPR02139508 ecoRoute crash. Customer is in the eco phase and need quick help.
CCMPR02139269 add_io buffers takes long time to flip the inst to match row orientation
CCMPR02138981 restoreDesign hangs
CCMPR02138735 NR leaves partially open net in 19.1/19.2 but routes cleanly in 18.1
CCMPR02136378 Innovus read Virtuoso OA DB got wrong instance coordinate transform
CCMPR02136321 eco_pnr stage crashes during ecoRoute
CCMPR02135600 saveDesign crash while saving route file
CCMPR02134426 placeObject crashes when placement blockage is copied from GUI using copy button equivalent to uisetTool copy
CCMPR02133981 A proc with a foreach with a try/trap that is false, crashes 19.1 Innovus Legacy
CCMPR02133766 Innovus is crashing during postRoute OptDesign with internal (SEGV) error/signal
CCMPR02133363 ccopt places clock buffers where it is impossible to route the stacked vias cleanly
CCMPR02133061 ERROR: (IMPSYC-179): add_power_switches cannot create nets with special character
CCMPR02131617 read_def -keepPinGeometry is moving a child pin
CCMPR02131170 **ERROR: (IMPTCM-18): 1000001 is not in the legal range of float values for value
CCMPR02130658 Changing write_lec_directory_naming_style has no effect where lec dofiles are created
CCMPR02130351 Issue with read_def merge causing incorrect display of nets from the merged def
CCMPR02130328 ccopt_design -cts -check_prerequisites changes the constraint status in clock tree debugger
CCMPR02129538 SEGV in the init stage
CCMPR02128964 routeDesign crash during track assignment
CCMPR02128718 Enhancement request: dump and load specific wires and vias of signal net
CCMPR02128501 place_opt_design incremental placement SEGV
CCMPR02128047 Bad command structure can crash tool (get_property)
CCMPR02127426 Tool is unable to trace scan chain and issues IMPSC-1001/1144/1117 warnings
CCMPR02127381 Incorrect virtual power domain created by INVS
CCMPR02126378 Need to obsolete create_inst_group/update_inst_group
CCMPR02126071 GUI and Violation Browser Show non-existent DRC violation
CCMPR02126011 extractRC abnormal exit - out of memory in prepare_for_route
CCMPR02125868 Innovus (NR) not fixing Via enclosure rule but violates
CCMPR02125180 NanoRotute crash in delay calculation
CCMPR02124425 saveNetlist exportTopPGNets needs to be made public and documented
CCMPR02124286 Nanoroute creates odd M1 shapes and violations when adding via pillars
CCMPR02123763 check_power_vias runs very slow in 19.11 compared to 18.14
CCMPR02123612 check_process_antenna missing real violations
CCMPR02122983 Ruler should snap to die boundary
CCMPR02122553 Why do we have IMPCCOPT-4209 and how avoid it?
CCMPR02122311 Derive Power/Ground connectivity during addIOFiller
CCMPR02121806 ecoDeleteRepeter crash
CCMPR02120405 Wrong layer due WARN IMPPTN-1802 issue in assign_io_pins is a mix of Legacy/CUI syntax
CCMPR02118995 Innovus DIAG error at hold timing calculation in the postroute stage
CCMPR02118862 Tool crashes for ecoCompareNetlist
CCMPR02118703 Block ring extension problem
CCMPR02118446 Innovus 19.10 is locking up during ccopt_design CUI
CCMPR02117133 After addfillers removing GNCs for power pin for ESD cells
CCMPR02116891 Fatal crash during place_opt_design with Innovus 19.11-e081_1
CCMPR02116746 IMPMSMV-1130 with fine grain ground switched memory power switch strategy
CCMPR02116535 SEGV in the post-CTS step
CCMPR02116042 Difference in the reporting of Density by timeDesign and checkPlace
CCMPR02116003 saveDesign is crashing at the floorplan stage
CCMPR02115927 checkPlace -noCheckPinAccess crashes randomly
CCMPR02115765 verify_drc crashes with SEGV at process_net_stack_via(bool)
CCMPR02115755 ERROR with tCIC DBLK.LUP rule check
CCMPR02114432 DIAG SetParentChange::CheckNoAssign NonFatalAssert Failed: Created assign state
CCMPR02114395 place_opt_deisgn crash when ILM and UPF used
CCMPR02113715 select_bump -net <netname> is not selecting the corresponding bumps
CCMPR02113637 SEGV in postCTS optimization
CCMPR02113118 Innovus import design
CCMPR02113111 Tool crash while running verify_drc
CCMPR02113099 QoR: need to align delete_buffer_tree command behavior with the one embedded in place_opt_design
CCMPR02112460 addFiller is taking more than a day over top-level
CCMPR02112273 addStripe does not drop PGvia and leaves one side of stripe dangling
CCMPR02112262 routeDesign hangs with setnanoRouteMode -droutePostRouteSpreadWire true
CCMPR02112205 sroute command is not creating VSS followpin routing between two macros
CCMPR02112162 Tool flagging false C4 WidthTable violations
CCMPR02111913 Voltus should handle ambiguous NDR and VIA definitions in Signal EM analysis
CCMPR02111887 EcoDeleteRepeater crashes
CCMPR02111265 Innovus is crashing during verify power via
CCMPR02111140 Third party tool not able to read oasis generated by Innvous
CCMPR02110683 SEGV during trackOpt
CCMPR02110266 Long runtime on first GUI query
CCMPR02109985 Innovus crashed in optimization during DrvOpt
CCMPR02109555 flexible htree blocked resource prediction not correct for multiple clocks
CCMPR02107420 NR leaving MUSTJOIN ports unconnected
CCMPR02106071 Bus term definition related warning (IMPOAX-684) should occur during init_design stage
CCMPR02106045 Innovus streamout is showing warning of 1 empty cell
CCMPR02104944 routeDesign fails with ERROR (NREX-87) Failed to read tech file
CCMPR02104685 Innovus crash in place_opt_design 18.13 CUI
CCMPR02103946 eco_oa_design gives error in 18.14
CCMPR02102523 Tool crashes during the optDesign -postCTS command
CCMPR02102357 Innovus is unable to generate DRC clean multicut power vias
CCMPR02102330 Power routing is not adding vias in 18.14-e070 and later
CCMPR02099500 place_opt_design hangs after issuing **WARN: (IMPSP-9089): Feature 'LEF ROWPATTERN' is obsolete
CCMPR02099431 Set unreasonable wire length causes weird behavior of tools
CCMPR02098915 verify_drc does not detect all out of die area routes due to rectilinear block shape
CCMPR02098242 Align man page/map options for write_multi_bit_flop_mapping_file
CCMPR02097739 QoR degradation
CCMPR02097616 NR fails to close M2 same mask spacing violation aroudn pin access area
CCMPR02097472 Innovus 171 routeDesign segmentation fault
CCMPR02096143 False checkPlace pin access violations
CCMPR02096071 CUI/Stylus bus msb/lsb seems reversed
CCMPR02095372 addFiller is adding DCAP cells with the same name twice
CCMPR02094830 Crash during routeDesign -trackOpt
CCMPR02094036 CUI equivalent of legacy command setNanoRouteMode -drouteCheckMarOnCellPin
CCMPR02094024 Via pillar creates min step violation
CCMPR02093499 ecoDeleteRepeater crashed
CCMPR02093385 Issues with output from command convert_legacy_to_common_ui
CCMPR02093320 addStripe failed to create M4 PG stripes cross the whole core area correctly
CCMPR02092585 saveDesign Error out with **ERROR: (IMPSYC-1919)**
CCMPR02091577 ccopt_design continues to leave transition violations
CCMPR02090467 streamOut -mode FILLONLY includes MAXVOLTAGE/MINVOLTAGE labels for routing (non-fill) shapes
CCMPR02089999 Innovus cannot recognize the power switch cells it has been inserted into the power domain
CCMPR02089402 Crash in delay calculation in optDesign -postRoute
CCMPR02088896 Add support for ccopt property extract_non_integrated_clock_gates in CUI
CCMPR02086023 GUI hang after gui_highlight gui_show
CCMPR02085825 Long runtime and great numbers of DRC in routing stage
CCMPR02084630 Unwanted layer demotion to DPT layer near source, causing EM violations
CCMPR02084341 Crash during ECO commands
CCMPR02082870 Constrained path reported as unconstrained
CCMPR02082577 clock-PRO not working on 1st level gaters (closest to root—) std cell libs
CCMPR02082146 18.14: place_opt_design with early clock flow SEGV
CCMPR02081702 Using sroute to connect pad pins together
CCMPR02080990 Via pillars create short with M1 cell blockage
CCMPR02080792 The field pin group is not updated automatically in pin editor
CCMPR02079541 partition command hangs for over 20 hours
CCMPR02079337 Tie cell addition fails for many pins after taking 15+ hours, keep on applying GNCs in loop
CCMPR02078459 summaryReport -outDir does not output all files into the specified outdir
CCMPR02078017 routePGPinUseSignalRoute is crashing during detailed route routing second Power Pins using multi-threading
CCMPR02077263 setTopCell command is crashing when invoked from GUI
CCMPR02077202 Incorrect mbit naming by Innovus
CCMPR02077010 Highlight of hinst is not working in floorplan view
CCMPR02076934 convert_lib_clock_tree_latencies creating unexpected values
CCMPR02076630 [saveDesign huge runtime
CCMPR02076471 partition command creates new PG pins
CCMPR02074300 ccopt_design hang during clustering
CCMPR02074069 addStripe causing segmentation fault when -power_domains is specified
CCMPR02073825 Innovus cannot interpret defined tcl var reference inside 1801 when the tcl var is set outside UPF unlike Genus/CLP
CCMPR02073717 Pin access analysis takes 7 hours in one cell
CCMPR02073380 delete_dangling_port introduce error IMPSYC-1919
CCMPR02073245 SEGV during route_secondary_pg_pins in timing_driven
CCMPR02072971 DIAG in connectPinT
CCMPR02072858 addStripe creates euclidean spacing violations to 45-degree edges of bumps
CCMPR02072849 verify_drc -view_window takes long runtime
CCMPR02072754 Missing VIA definition in DEF
CCMPR02072464 This switch -usePostCTSHighFanoutNetFixing is still leaving some HFN unfixed during postcts
CCMPR02072224 User gets repeatable stack trace when selecting an IO pad
CCMPR02072148 Innovus gui_highlight and get_layer_preference problems
CCMPR02071315 Enhancements requests for dbQuery
CCMPR02071129 Wire spreading causes lots of DRC in LEF58_EOLEXTENSIONSPACING
CCMPR02070851 PSW enable In/Out pin are not in always on power domain
CCMPR02070093 partition command takes ~30 minutes to finish for a single partition
CCMPR02069357 addRepeaterByRule is not buffering some nets
CCMPR02068105 Via pillars create short with M2 cell blockage
CCMPR02068020 UPF constructs for create_power_domain out of Innovus triggers 1801_REF_OBJ_REDEFINED: Power intent object has been previously defined
CCMPR02067997 Innovus route stage end up with many un-routed (open net)
CCMPR02067971 connect_global_net changes not persistent in output netlist
CCMPR02065812 setEdit -extend_to_bdry 1 is not properly mapped to setEditMode
CCMPR02065573 Crash in top-level postcts_hold (ilm design)
CCMPR02064937 Superfluous warnings in Innovus
CCMPR02064336 Issues when importing Virtuoso colors into Innovus
CCMPR02064190 verify_drc seg faults with 18.1x
CCMPR02063539 verify_drc reports Minimum cut violation with 0-cut required
CCMPR02063352 snapFPlan -all command moved the IO driver cells to some strange locations
CCMPR02062972 1801 produces error IMPDB-1207 when supply set is not fully defined before apply_power_model
CCMPR02062862 Long runtime of editDelete -net command
CCMPR02062520 tQuantus R and C values are off compared to Sign-off quantus
CCMPR02061899 Remove check on tapeOut mode and update DF-1043 message
CCMPR02061887 addStripe breaks stripe at selected even when -break_at set to none when power domains exist in design
CCMPR02061181 Request ability to toggle visibility of exceptpgnet style blockage separately from regular cell blockages
CCMPR02061038 Core dumped at ediPowerVia
CCMPR02060219 Huge runtime during macro checker
CCMPR02060125 update_power_vias does not respect via11 blockage over m10 block pins but does over m10 stripes
CCMPR02059140 Innovus fails to optimize critical IO group timing with high effort and weight
CCMPR02058873 Need to issue a warning message related to tech file error
CCMPR02058738 Innovus crashing during CTS when using nested fences and the dont_touch_hports attributes after issuing IMPCCOPT-4283
CCMPR02057772 DIAG during saveDesign
CCMPR02057589 NR/Swapping vias does not respect hard NDR spacing besides verify_drc cannot detect the errors
CCMPR02057549 create_clock_tree_spec output file has typo
CCMPR02057316 set_port_attributes UPF command does not work properly in Innovus for port buses
CCMPR02056738 Appending empty lef file list to init_lef_file causes saveDesign link every file in the current run dir
CCMPR02055819 18.1x: RouteDesign crashes
CCMPR02055314 Clean up of DIAG messages during preroute extraction due to missing vias in LEF
CCMPR02055104 add_gui_shape or addCustomBox no longer allows user to manually resize using GUI due to fail of setObjFPlanBox
CCMPR02055067 18.13-e070_1 and 18.14 set is_memory to true even for all std cells when voltage scaling lib set is loaded
CCMPR02054916 Correlation of Innovus DRC rules with Signoff rules Same Metal Aligned Cuts
CCMPR02054834 Huge amount of Clock ID degradation is observed during egrpc
CCMPR02054814 Enhancement to stop writing out ppcmd files from Innovus in CUI mode to the database with write_db
CCMPR02054161 Innovus shows random behavior in optDesign -postRoute -setup -hold, in one run crashes during hold optimization
CCMPR02054105 Crash during placeDesign command
CCMPR02053080 CTS crashing at clustering with 17.15 version
CCMPR02051254 check_ndr_spacing false reports violation when NDR is defined as HARDSPACING
CCMPR02051164 Guide Overlapping Fence causes illegal cell placement
CCMPR02050703 flexible htree image colormap change makes the data difficult to use
CCMPR02049947 NR is not updating the default power domain dimension after switching partition
CCMPR02049164 Request to remove escape character in instance name for create_inst -inst
CCMPR02048563 saveDesign -addtiming
CCMPR02048438 Enhance VL-321 message and make it a warning message
CCMPR02048374 setDesignMode -node S5 causes huge jump in eGR congestion
CCMPR02048344 Strange routeDesign -wireOpt behavior in 19.10 std cell libs
CCMPR02047048 streamOut: wrong min/max voltage text labels for 45 degree shapes
CCMPR02046325 Tran violations reported with report_constraint and reportTranViolation have mismatch in postRoute
CCMPR02046088 DIAG during create_timing_budget
CCMPR02045621 Horizontal max length violations are not being fixed by tool
CCMPR02045449 editPowerVia - same mask metal aligned cuts
CCMPR02045423 Innovus addVia skips some M4 pin to M5 power/ground stripe connections
CCMPR02045272 Floorplan file saved with saveDesign is missing area-IO instances
CCMPR02044551 Power via array generated with insufficient space between cuts
CCMPR02044445 WARNING (EXTGRMP-574): There are 8 unrouted nets
CCMPR02043631 Pin Editor GUI not giving all the edges in side/edge option
CCMPR02042506 add/check_metal_fill SEGV
CCMPR02041649 Placer needs to align placement of insts with M3 via pillars wrt M3 PG
CCMPR02041585 Timing Debugger generates hold data instead of setup data when timing_analysis_check_type is set to hold
CCMPR02041173 DRV degradation after ecoRoute in postRoute hold only flow
CCMPR02040993 **ERROR: (IMPESI-3201): Delay calculation failed for net and causing SEGV
CCMPR02040038 IQRC does not see physical connectivity between terminal wire segment (IMPEXT-1392)
CCMPR02039519 CCOPT SEGV during refine Place
CCMPR02039421 Enhancement for verify_drc report with separate NDR categories
CCMPR02038277 checkPlace issues pin access warnings and violations while router is able to route
CCMPR02038098 Pin legality issue causing PG shorts
CCMPR02036853 Labels do not move with the pins when pins are placed by placer
CCMPR02035155 saveTestcase to copy only the design lib used in OA based flow
CCMPR02034885 write_lef_library omits MINIMUMCUT rules if no via is defined
CCMPR02033663 Vague errors on reading the same CPF after free_power_intent
CCMPR02033400 verify_drc does not report violations between stripes and 45-degree bump shapes
CCMPR02033201 Innovus reporting false minstep violation
CCMPR02032030 Single cut/Multi cut via report in Innovus
CCMPR02030617 No way to halt flow if pin placement is illegal
CCMPR02029175 Improve PRO TAT on large designs
CCMPR02028928 Crash during opt_design -post_route
CCMPR02028652 optDesign -postRoute is crashing due to memory corruption
CCMPR02027822 report_path_group_options fails to report -early options
CCMPR02027247 Colorization of VIA1 incorrect during place_opt -place, can only properly be done with checkPlace -inst
CCMPR02025993 NRHF hangs setting up constraints
CCMPR02025887 Command 'reportCapViolation -all -min' is not detecting min cap violation on port
CCMPR02025200 Automatic via tuning at addStripe could avoid missing vias
CCMPR02024770 Disabling socv analysis causes IMPLIC-90 license error
CCMPR02023492 NDR violations on M5(6) for via_pillar
CCMPR02022789 sroute routes tielo/hi signal pins on IO instances
CCMPR02022275 Lingering stacked via/MAXCELLEXTENSION issues
CCMPR02021035 Enhancement for command read_timing_debug_report
CCMPR02020619 The createSdpGroup command does not keep the order of cells specified in the command line
CCMPR02018943 Support for apply_power_model -port_map to a constant
CCMPR02016517 Lack of DRV fixing on top level with INVS 18.11 (unexpected behavior of spGetBoxDemandAndSupply)
CCMPR02014427 Add new object type pinShape in dbGet
CCMPR02012103 ERROR: (IMPVL-325) in ILM flow when an abstract (LEF) of a submodule is available
CCMPR02011414 Improve eGR runtime on large designs
CCMPR02008454 tQuantus w/virtual metal fill 15% optimistic on key nets vs QRC
CCMPR02007256 placeopt runtime is 56 hours on 3.5M block
CCMPR02004466 Command eco_design to support -lef_files option
CCMPR01998922 place_opt_design should filter out the delay cells automatically
CCMPR01995934 color highlight in other command does not work when we mix select commands
CCMPR01988788 Innovus fixes 300K max_trans in 25 hrs, and it hangs for 18 hours for 1st round fix, without increment info
CCMPR01973883 assembleDesign causes pWires to teleport to negative x-space
CCMPR01973806 dbQuery does not detect bump cell all times
CCMPR01972186 MAR on fixed VIA when route_eco
CCMPR01962428 Virtuoso registry file out-of-sync with other Cadence tools
CCMPR01951373 Crash with sroute without stack trace
CCMPR01932175 CCOpt run time degradation due to timing graph updates
CCMPR01906406 Native commands should accept get_db location output without requiring additional user processing
CCMPR01889342 verifyPowerVia command is flagging missing vias where cell blockage located
CCMPR01859285 Stacktrace during writing timing model
CCMPR01758160 dbiSnapCoordToTrackCmd ptnSnapCoordToTrack API is not snapping to next Mask1 rather than nearest track
CCMPR01540224 oasisOut does not allow to merge GDS files, streamOut does not allow oasis files
CCMPR01451111 Provide option to suppress flightline display inside partitions


May 07, 2020
This parameter has not been replaced.
The Cadence Innovus Implementation System is optimized for the most challenging designs, as well as the latest FinFET 16nm, 14nm, 7nm, and 5nm processes, helping you get an earlier design start with a faster ramp-up. With unique new capabilities in placement, optimization, routing, and clocking, the Innovus system features an architecture that accounts for upstream and downstream steps and effects in the design flow. This architecture minimizes design iterations and provides the runtime boost you’ll need to get to market faster. Using the Innovus system, you’ll be equipped to build integrated, differentiated systems with less risk.

The Innovus system features a variety of key capabilities. Its massively parallel architecture can handle large designs and take advantage of multi-threading on multi-core workstations, as well as distributed processing over networks of computers.

Based on the well-established NanoRoute engine, next-generation slack and power-driven routing with track-aware timing optimization addresses signal integrity early on and improves post-route correlation. The Innovus system includes full-flow multi-objective technology, which makes concurrent electrical and physical optimization possible. It also shares a customizable flow via a common UI and user commands with synthesis and signoff tools. As a result, you can take advantage of robust reporting and visualization, improving your design efficiency and productivity across the whole digital flow.

With block sizes growing in both cell count and complexity, the number of macros that need to be positioned in the floorplan is exploding. The Innovus system offers mixed-macro and standard-cell placement, which enables macro locations to be automatically generated, reducing the time to create an optimal floorplan from days to hours.

The latest advances in machine learning computer science are very relevant for digital implementation flows. The Innovus system incorporates machine learning technology to deliver the best PPA for the most challenging, high-performance blocks. The designer has complete control over the machine learning training, to ensure it is customized for their specific design requirements.

Cadence’s Genus Synthesis Solution is tightly integrated with the Innovus system, which enables a seamless move from RTL synthesis to implementation. With shared placement and optimization technology from the GigaPlace and GigaOpt engines for Genus physical synthesis, this offers a big benefit for advanced-node design convergence.

As voltage decreases in the latest FinFET process nodes, IR and EM constraints become increasingly important. The Innovus system includes comprehensive power integrity-aware placement, optimization, clock tree, and routing features to ensure IR and EM violations are addressed during implementation without impacting final PPA.

Cadence’s Tempus Timing Signoff Solution, Quantus Extraction Solution, and Voltus IC Power Integrity Solution are integrated with the Innovus system. With this integration, you can accurately model parasitics, timing, signal, and power integrity effects at the early stage of physical implementation, and achieve faster convergence on these electrical metrics, resulting in more efficient design closure.

Place and Route in Cadence Innovus







Complete flow of innovus tool has been demonstrated in this video. Both command line and GUI mode have been covered in the same video. Important input files for this flow has also been discussed and how to create them has been explained.
Cadence enables global electronic design innovation and plays an essential role in the creation of today’s integrated circuits and electronics. Customers use Cadence software, hardware, IP and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers and research facilities around the world to serve the global electronics industry.


Product: Cadence INNOVUS
Version: 19.11.000 (ISR1) **
Supported Architectures: x86
Website Home Page : www.cadence.com
Languages Supported: english
System Requirements: RHEL 6.5 (lnx86) *
Size: 3.9 Gb


本部分内容设定了隐藏,需要回复后才能看到








软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线ganjun2001

发帖
3324
今日发帖
最后登录
2024-07-08
只看该作者 沙发  发表于: 2021-11-10 10:32:59
      
离线mypwjclu

发帖
3594
今日发帖
最后登录
2024-07-08
只看该作者 板凳  发表于: 2021-11-10 11:08:24
谢谢楼主的分享。
离线misswang

发帖
159
今日发帖
最后登录
2024-05-24
只看该作者 地板  发表于: 2021-11-16 10:38:33
谢谢分享!!!!!!!!
离线scd

发帖
744
今日发帖
最后登录
2024-06-22
只看该作者 地下室  发表于: 2021-11-19 10:41:09
感谢楼主分享
离线crskynet

发帖
1316
今日发帖
最后登录
2023-10-07
只看该作者 5 发表于: 2021-11-19 20:18:50
Cadence INNOVUS v18.10.000
软件下载咨询 sdbeta@qq.com
 
离线jokeshe

发帖
8
今日发帖
最后登录
2023-10-15
只看该作者 6 发表于: 2021-11-23 00:14:05
看看是啥软件。谢谢!
离线蓝天空

发帖
890
今日发帖
最后登录
2024-07-07
只看该作者 7 发表于: 2021-11-23 06:47:07
谢谢分享!!!!
离线dgd2019

发帖
8088
今日发帖
最后登录
2024-07-08
只看该作者 8 发表于: 2021-12-01 13:50:00
谢谢分享!!!!!!!!
离线crskynet

发帖
1316
今日发帖
最后登录
2023-10-07
只看该作者 9 发表于: 2021-12-01 19:11:43
Cadence INNOVUS v18.10.000