论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 21467阅读
  • 44回复

[行业软件]Cadence XCELIUM (XCELIUMMAIN) 24.03.001 Linux [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53370
今日发帖
最后登录
2024-09-28
只看楼主 倒序阅读 使用道具 楼主  发表于: 2021-11-29 08:19:29

Cadence XCELIUM version 24  | 8.6 Gb

Cadence Design Systems, Inc. , the leader in global electronic design innovation, is pleased to announce the availability of XCELIUM 24.03 (XCELIUMMAIN) is part of the Cadence Verification Suite and supports the company’s Intelligent System Design strategy, enabling pervasive intelligence and faster design closure.



AVSREQ-204811 COVERAGE_COVERGROUP xmsim internal exception "sslu_shm_sv_reg_create_type - unknown/unsupported VST_T_*"
AVSREQ-205371 COVERAGE_INTERFACES *E,COVUMF: Could not open file icc_1390bd61_7cd57bfa.ucm" for writing (ofstream exception). Error while running regressions
AVSREQ-209574 DMS_ANALOG_ELAB Standalone xrun sim is completing. On introducing Analog, block xrun gives "xmsim: *F,Interr: internal Exception" error.
AVSREQ-212761 DMS_ELAB xmelab crash
AVSREQ-212234 DMS_ELAB xmelab: *F,INTERR: INTERNAL EXCEPTION by using -newperf in AMSD-FX
AVSREQ-200084 DMS_ELAB misleading AMSAOIW warning
AVSREQ-172340 DMS_ELAB Tool is crashing with error message csi-xmelab - CSI: *F,INTERR: INTERNAL EXCEPTION
AVSREQ-214194 DMS_LICENSE Simulation time message to be added similar to xmelab: *N,MSFLON: for DMS is getting triggered and DMS license will get checked out.
AVSREQ-207144 DMS_LICENSE Skipping of DMSO license by using VAMS wrapper
AVSREQ-214401 DMS_LP_AMS CUVHNF: Hierarchical name component lookup failed in mixed-language, LP-MS simulation
AVSREQ-213035 DMS_LP_AMS lps_power_tchecks: vst_xfile () - expected VST_ROOT, class 32
AVSREQ-204957 DMS_LP_AMS EEnet_E_Bidir creates ripple on supply voltage in a UPF LPS AMS simulation, probably caused by $cged reading from a UPF LPS power domain
AVSREQ-197007 ELAB_SV tool is not generating the "tb_sim" snapshot for encrypted design
AVSREQ-195060 GLS_GENERAL The simulation result differs with/without -disctran option.
AVSREQ-207439 LP_1801 Why xcelium does not do the force operation after power up?
AVSREQ-205784 LP_1801 $xm_mirror_force is not applied immediately after power-up.
AVSREQ-201212 LP_1801 NEW FLOW: LP liberty in compile stage - new compile error Mixing of ansi & non-ansi style
AVSREQ-200074 LP_1801 indago probe crashing during sim time
AVSREQ-209707 LP_BUILD_PERF Overhead in pwrImDmnStructTwo checkpoint
AVSREQ-200352 LP_BUILD_PERF 7x build time with LP compared to RTL only
AVSREQ-209789 LP_LIBERTY MESSAGE: sv_seghandler - trapno -1 addr((nil))
AVSREQ-203023 LP_LIBERTY LP new liberty flow: when -lps_pa_strict switch is used, this module gets classified as nonPA instead of PA
AVSREQ-213467 MSIE_ELAB Tool Crash in DSS flow while switching the XLM release
AVSREQ-213948 SIM_PERFORMANCE function error issue on "always" block execution
AVSREQ-209391 SIM_PERFORMANCE Simulation stuck at 0ns
AVSREQ-207871 SIM_PERFORMANCE elaboration FATAL - cu_xuafillot - elwait block with no count
AVSREQ-204746 SIM_PERFORMANCE Xcelium exits unexpectedly with new version
AVSREQ-204073 SIM_PERFORMANCE Fatal during elab: cu_xuafillot - elwait block with no count
AVSREQ-207469 SIM_SV_VHDL SV module port mapping to input port VHDL OOMR makes it U
AVSREQ-201538 SIM_SV_VHDL Buffer output showing "U" but input is toggling.
AVSREQ-206898 SIM_TCL #This is a comment - not working in Xcelium TCL
AVSREQ-206179 SIM_VHDL *E,TRINDXC: index constraint violation in VHDL code
AVSREQ-210924 SIM_VHPI VHDL alias to signal in waveform
AVSREQ-187699 SIMVISION_MS Rendering of transistors in schematic tracers with drain towards up, source towards down, gate towards left
AVSREQ-203869 SIMVISION_TCL Scripting of Simvision formatting save command does not work well in customer environment
AVSREQ-215855 SIMVISION_WAVEFORMS Simvision hides text if the signal or background color is changed
AVSREQ-210706 SIMVISION_WAVEFORMS VHDL enum values are not displayed anymore
AVSREQ-206872 SV_CODEGEN Customer test failed after moving from 22.03.v006 to 23.03.v004
AVSREQ-201324 SV_CODEGEN Compiler stops responding in 2303-002 : gq_cfjb - loop back corruption
AVSREQ-212104 SV_LET xmelab: *E,SOSSZI when the slice size is specified correctly.
AVSREQ-200347 SV_RUNTIME run -adjacent (stepping over a line of code) is taking a really long time
AVSREQ-93922 SV_RUNTIME RMEMEOOR: Random values in error message shown in simulator
AVSREQ-202579 VHDL_CODEGEN Fatal error when VHDL 1993 code is compiled with the -v200x
AVSREQ-202129 VHDL_CODEGEN alias to an element of an array causes internal error: MESSAGE: gq_shapecheck - ic1 null
AVSREQ-211910 VHDL_PARSE *F,INTERR: INTERNAL EXCEPTION when overloading the XOR operator
AVSREQ-202127 VHDL_PARSE xmvhdl_p segmentation fault from alias to array element in a generate
AVSREQ-212957 VPI_GENERAL SIGSEGV in sslu_with_local_trdrv_method_num of ipi_cbForce_cuf_int
AVSREQ-209925 VPI_GENERAL Need to use VPI to read allthe member variables of a SystemVerilog classobject, including those defined in the base class
AVSREQ-209834 VPI_GENERAL SIGABRT in ipi_normalizedSubHandleByIndex
AVSREQ-208471 VPI_GENERAL No textref visible for array when used with array methods
AVSREQ-210004 VPI_LWD struct elment in $stable expression does not get added to contributing signal
AVSREQ-209062 VPI_LWD Show Contributing Signal does not show contributing signal of OOMR in assertion
AVSREQ-210055 VWDB_XCELIUM Simulation fatal xmsim: *F,SIGUSR in customer env due to incorrectly linking VWDB json to xmsim executable
Cadence's Xcelium Logic Simulation provides best-in-class core engine performance for SystemVerilog, VHDL, mixed-signal, low power, and x-propagation. It supports both single-core and multi-core simulation, incremental and parallel build, and save/restart with dynamic test reload. The Xcelium Logic Simulator has been deployed by a majority of top semiconductor companies, and a majority of top companies in the hyperscale, automotive and consumer electronics segments. Using computational software and a proprietary machine learning technology that directly interfaces to the simulation kernel, Xcelium learns iteratively over an entire simulation regression. It analyzes patterns hidden in the verification environment and guides the Xcelium randomization kernel on subsequent regression runs to achieve matching coverage with reduced simulation cycles. Xcelium is part of the Cadence Verification Suite and supports the company’s Intelligent System Design strategy, enabling pervasive intelligence and faster design closure.


Cadence's Xcelium Logic Simulation provides best-in-class core engine performance for SystemVerilog, VHDL, mixed-signal, low power, and x-propagation. It supports both single-core and multi-core simulation, incremental and parallel build, and save/restart with dynamic test reload. The Xcelium Logic Simulator has been deployed by a majority of top semiconductor companies, and a majority of top companies in the hyperscale, automotive and consumer electronics segments. Using computational software and a proprietary machine learning technology that directly interfaces to the simulation kernel, Xcelium learns iteratively over an entire simulation regression. It analyzes patterns hidden in the verification environment and guides the Xcelium randomization kernel on subsequent regression runs to achieve matching coverage with reduced simulation cycles. Xcelium is part of the Cadence Verification Suite and supports the company’s Intelligent System Design strategy, enabling pervasive intelligence and faster design closure.
Owner: Cadence
Owner: Cadence
Product Name: XCELIUM
Version: 24.03 (XCELIUMMAIN)
Supported Architectures: x86_64
Website Home Page : www.cadence.com
Languages Supported: english
System Requirements: Linux *
Software Prerequisites: pre-installed Cadence XCELIUMMAIN 23.09.001 and above
Size: 4.0 Gb

本部分内容设定了隐藏,需要回复后才能看到


















软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线kairimai

发帖
1334
今日发帖
最后登录
2024-09-28
只看该作者 沙发  发表于: 2021-11-29 08:34:53
离线chary123

发帖
600
今日发帖
最后登录
2024-09-03
只看该作者 板凳  发表于: 2021-11-29 08:41:23

发帖
1649
今日发帖
最后登录
2024-09-23
只看该作者 地板  发表于: 2021-11-29 12:47:09
谢谢楼主分享
离线mypwjclu

发帖
3745
今日发帖
最后登录
2024-09-28
只看该作者 地下室  发表于: 2021-11-29 12:49:34
看看,支持楼主的分享。

发帖
1649
今日发帖
最后登录
2024-09-23
只看该作者 5 发表于: 2021-11-30 08:49:01
谢谢楼主分享
软件下载咨询 sdbeta@qq.com
 
离线chary123

发帖
600
今日发帖
最后登录
2024-09-03
只看该作者 6 发表于: 2021-11-30 15:58:07
    
离线jetzhou

发帖
16
今日发帖
最后登录
2022-04-20
只看该作者 7 发表于: 2021-11-30 16:01:30
谢谢楼主分享
离线huchiyi

发帖
19
今日发帖
最后登录
2022-11-04
只看该作者 8 发表于: 2021-12-01 22:56:59
谢谢楼主的分享,必须点赞哈
离线mtje2021

发帖
236
今日发帖
最后登录
2024-08-07
只看该作者 9 发表于: 2021-12-03 10:31:18
感谢大佬分享