论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 14517阅读
  • 45回复

[行业软件]Cadence SPECTRE 23.10.242  Linux [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53370
今日发帖
最后登录
2024-09-28
只看楼主 倒序阅读 使用道具 楼主  发表于: 2022-05-06 20:39:33

Cadence Spectre 23.10.303| 3.6 Gb


The software developer Cadence Design Systems, Inc. is pleased to announce the availability of SPECTRE 23.10.242 is an advanced circuit simulator that simulates analog and digital circuits at the differential equation level.



Fixed CCRs in SPECTRE 23.1 ISR1 - September 2023

2798039 AMSD AMS: Enhance PSFXL_CLEAR_HOSTNAME_AT_EXIT to handle unexpected exits
2799310 AMSD AMS gives an error for DSPF simulations with inherited connections and blackbox instances
2800670 AMSD xrun option -process_save causes performance to slow down
2809233 AMSD The 'force' Tcl command is not working as expected
2820717 AMSD Monte Carlo simulation finishes at t=0 when using Hier-DVS connect module in Spectre AMS Designer with Spectre X
2833285 AMSD Support scoped Monte Carlo analysis for Spectre AMS Designer with Spectre FX on the command line and Virtuoso ADE Suite
2348135 FX Noise in VPN with FastSPICE engine
2770537 FX Fix issues in DFA for AMS simulations with Spectre FX
2795076 FX Error due to the tstart parameter definition in vector file
2796916 FX Enhancement request for Spectre FX sfx_floating_gate_gshunt option
2810498 FX Preserve VPROBE which is corresponding to LPROBE
2811577 FX Spectre FX cannot save signals with special characters in their names when +escchars is specified
2812399 FX Unexpected node waveform defined as a bus format in the digital vector file
2817684 FX Support more hierarchy delimiters
2819222 FX Add support for zs in the digital vector pattern statement tunit
2829312 FX dyn_mosv does not work when using Spectre 21.1 ISR16 or newer versions
2830420 FX Support probe v() with subckt and -except_subckt
2831435 FX Allow printing run time by excluding license checking out time from the total run time
2833065 FX dyn_diodev violation is detected even when duration time is shorter than specified value
2834816 FX Port current cannot be saved for a Verilog-A block
2835462 FX The detection of VGND failed in Spectre FX fast modes due to a Verilog-A module
2839825 FX Spectre FX convergence issue
2843805 FX Enhance '.option save=nooutput' not to generate FSDB
2848254 FX Spectre FX base mode simulation results are not correct
2856129 FX AMS simulation with Spectre FX returns an incorrect result when constant bsource v is used in the model
1919470 SPECTRE Support all MDL expressions for asserts in fault simulation
2520677 SPECTRE Replace irrational with complex in the error message
2559463 SPECTRE Add Spectre Monte Carlo option to enable different distribution to the mismatch and process parameters
2580955 SPECTRE Support const_current and max_gm in ODD option
2621504 SPECTRE Issue with dynamic check report generation during simulation when flush_violation_threshold option is used
2734679 SPECTRE calculate Lifetime of devices with different Delta at the same time for different devices
2735899 SPECTRE Spectre APS achieves transient convergence; Spectre X fails DC convergence
2738303 SPECTRE Spectre transient simulation performance issue associated with the SKI interface.
2751553 SPECTRE Spectre X log file results differ from Spectre APS log file results when compared on a pre-layout netlist
2753185 SPECTRE dyn_float_tran_stat gives a violation count, but no leakage currents are reported when domain_detection_method=node
2754178 SPECTRE Enhance Spectre python API to provide information on the active model and section interactively
2755025 SPECTRE steppreset cannot be set as dynamic parameter in paramset
2776529 SPECTRE Report an error if an SPEF file is being stitched to a DSPF instance
2791843 SPECTRE current plot very noisy unless cx is used
2793269 SPECTRE Unexpected assert violation is triggered with dynamic parameter temperature in Spectre21.1 ISR14 and newer versions
2794617 SPECTRE Enhancement to auto-detect an instance specified in emir.conf for EM-IR analysis as maskdev include
2796893 SPECTRE Incorrect age report when running reliability analysis with Dynamic Parameter in tran analysis
2797501 SPECTRE FATAL (SPECTRE-18): Segmentation fault with +diagnose option
2797541 SPECTRE In dyn_float_tran_stat check, the log file does not consider the cols and colslog global options
2797603 SPECTRE PSS/Pnoise - No output noise spectrum when sampling zero crossing
2802005 SPECTRE Unable to set statistical parameters using SKI MCI plugin in Spectre 21.1 ISR17 release.
2802050 SPECTRE Spectre MDL fails to iterate through a foreach loop when using +postlpreset
2802902 SPECTRE Using temp as dynamic parameter changes the circuit topology and reports errors
2802924 SPECTRE Provide a mechanism in Spectre assert to stop simulation upon a violation
2804953 SPECTRE How to enable CIRCUIT_MODEL for Spectre Legato Electrothermal?
2807501 SPECTRE Request for special handling of iprobes in parallel
2807736 SPECTRE An incorrect warning message MDL-358 is displayed when there is a typo in a measure statement
2809723 SPECTRE Segmentation fault and performance issue during Verilog-A compilation
2811945 SPECTRE Spectre fails to solve dependency between measures that belong to different AC scopes
2811958 SPECTRE AC analysis exits unexpectedly in SPECTRE 21.1 ISR14 version; worked fine in older versions
2812340 SPECTRE AMS fault simulation randomly gets stuck at some faults
2813261 SPECTRE Instead of exiting, display error when pwlperiod is specified and the PWL file contains only one point
2813890 SPECTRE Inaccurate EM Max plots between Spectre X Direct and Iterated methods
2815333 SPECTRE Results with juncap200 model are different than the results saved when bsim model is used with juncap=2
2816943 SPECTRE Spectre X with preset mx does not converge due to FPE issues in bsim3v3 model
2817316 SPECTRE AMS Monte Carlo simulation fails during topology check when iprobe is added for ahdl
2818890 SPECTRE A sudden spike in current observed in a simulation run by SKI
2819647 SPECTRE Segmentation fault in Monte Carlo simulation due to incorrect variation
2819839 SPECTRE Incorrect simulation results due to RC reduction when +preset=mx is used
2820733 SPECTRE Spectre exits unexpectedly when enable_highz=1
2821357 SPECTRE Min_tstep value is not effective in emirpreset mode for Spectre FX
2825621 SPECTRE Spectre log shows violation, but it is missing from .sqldb
2825740 SPECTRE Spectre does not parse the dspf_location.txt file correctly
2826233 SPECTRE Save currents for all resistor with sqProbe tag during DSPF parsing
2826397 SPECTRE Segmentation fault with cx and ax in Spectre 21.1
2827113 SPECTRE Convergence issue in Spectre X iterated method
2827682 SPECTRE spw measure fails if the measure expression is printed over multiple lines
2830035 SPECTRE Segmentation fault when using Spectre X for capacitance table
2830336 SPECTRE Verilog-A output flattens if recover file does not exist
2832615 SPECTRE fmcsaverun fails with absolute path
2833059 SPECTRE Small fixes to bsimimg 102.80
2833561 SPECTRE dyn_float_tran_stat check errors due to a negative domain
2834081 SPECTRE Error in Spectre when using an encrypted user-defined model
2836003 SPECTRE Segmentation fault due to an undefined expression in the netlist
2836660 SPECTRE Running Liberate char on ARM machine specifically gets stuck with specific models
2837655 SPECTRE Spectre exits unexpectedly when updating bin model parameter
2838603 SPECTRE Spectre X does not pass a parameter value to the parameter type of the bsimbulk model
2840223 SPECTRE Found difference in the results of delta_IDs of OSD and ODD
2840999 SPECTRE Spectre FX cannot create a fault list
2842388 SPECTRE Spectre X performance issue in SPECTRE21.1 ISR19 with N4 process
2845182 SPECTRE Provide way to limit spectre warnings/notices to a specific time window in transient simulation
2849496 SPECTRE Spectre stops unexpectedly when using a state file as a recover file
2850722 SPECTRE static_voltdomain: bsource module for a particular bsource instance is not found
2853458 SPECTRE Segmentation fault occurs in Spectre21.1 ISR20 with Spectre X
2853750 SPECTRE dspf_subckt_wo_instance_call=error should not error out on duplicate DSPF subckt when duplicate_subckt=warning
2855456 SPECTRE Backannotation summary is not being printed when error SFE-620 in turned into a warning
2856299 SPECTRE SPECTRE exits unexpectedly while running C only SPEF on Spectre21.1 ISR21
2627177 SPECTRERF Spectre X does not print a warning message when disabling PSS options in the input.scs file
2708046 SPECTRERF Enhance Spectre to report maximum value achieved for any signal of each quantity for harmonic balance
2729626 SPECTRERF Check the range specified through the portharmsvec parameter for PSP, HBSP, and QPSP analyses
2743870 SPECTRERF Spectre X exits unexpectedly, but Spectre APS works
2749514 SPECTRERF Constellation distortion when using an 802.11ax source from rflib
2756951 SPECTRERF BBSpice gives wrong results for a 16-port Touchstone2 S-Parameter file; linear interpolation gives correct results
2773664 SPECTRERF Add support for -preset_override=tstabmethod or improve the message to guide users to set -preset_override=method
2785225 SPECTRERF Discrepancy between tran noise and pnoise in newer versions
2786341 SPECTRERF BBSpice: pnoise results are not accurate when using an oscillator with coil in S-Parameters
2792448 SPECTRERF pnoise simulation results in a segmentation fault when SPICE netlist contains the post option
2800633 SPECTRERF Segmentation fault when using fourier elements from the analog library for pss or envlp analysis
2802123 SPECTRERF How to specify harmonics when using Sprobe with HBSP
2811817 SPECTRERF Segmentation Fault in some of the Monte Carlo iterations when dc, hb, and hbac analyses are enabled
2817456 SPECTRERF port with a longer delay time produces an unexpected hb result
2822644 SPECTRERF S-Parameter checker reports CCW rotation but it is not seen on the Smith chart
2837819 SPECTRERF Memory increase for 3-tone HB cases in Spectre23.1 Base release
2851783 SPECTRERF Unable to run loadpull and +query=alllic in Spectre23.1 Base release

As the industry’s leading solution for accurate analog simulation, the Cadence Spectre Simulation Platform contains multiple solvers to allow a designer to move easily and seamlessly between circuit-, block-, and system-level simulation tasks. The foundation of the platform is a unified set of technologies shared by all of the SPICE engines—the parser, device models, Verilog-A behavioral modeling, input data formats, output data formats, etc.—thereby guaranteeing consistent and accurate evaluation methods regardless of the simulator selected.

The simulator uses improved algorithms that offer increased simulation speed and greatly improved convergence characteristics over SPICE. Besides the basic capabilities, the Spectre circuit simulator provides significant additional capabilities over SPICE. Verilog-A uses functional description text files (modules) to model the behavior of electrical circuits and other systems. Spectre RF Simulation option adds several new analyses that support the efficient calculation of the operating point, transfer function, noise, and distortion of common RF and communication circuits, such as mixers, oscillators, sample holds, and switched-capacitor filters.

Cadence technology platforms, including Xcelium Logic Simulation, Liberate Trio Characterization Suite, Legato Reliability Solution, Virtuoso ADE Product Suite, Voltus-Fi Custom Power Integrity Solution, and the Virtuoso RF Solution, to provide the industry’s most comprehensive cross-domain simulation solutionThe Spectre Simulation Platform delivers an indus-try-leading set of technologies for a comprehensive design and verification solution that provides SPICE, radio frequency (RF), FastSPICE, and mixed-signal simulators in a unique shared licensing package. This unified solution delivers scalable performance and capacity, thus providing robust verification of analog, RF, memory, custom digital, and mixed-signal silicon realization.

Co-Simulate with Cadence Spectre


This video demonstrates a new feature in AWR Design Environment V13 that provides the ability to co-simulate with Cadence Spectre designs.
Cadence enables global electronic design innovation and plays an essential role in the creation of today’s integrated circuits and electronics. Customers use Cadence software, hardware, IP and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers and research facilities around the world to serve the global electronics industry.

Owner: Cadence
Product Name: SPECTRE
Version: 23.10.242 *
Supported Architectures: x86_64
Website Home Page : www.cadence.com
Languages Supported: english
System Requirements: Linux **
Size: 6.4 Gb
此帖售价 78 电魂,已有 19 人购买 [记录] [购买]
购买后,将显示帖子中所有出售内容。
若发现会员采用欺骗的方法获取财富,请立刻举报,我们会对会员处以2-N倍的罚金,严重者封掉ID!
此段为出售的内容,购买后显示








软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线kairimai

发帖
1334
今日发帖
最后登录
2024-09-28
只看该作者 沙发  发表于: 2022-05-06 22:24:56
离线mypwjclu

发帖
3745
今日发帖
最后登录
2024-09-28
只看该作者 板凳  发表于: 2022-05-07 00:30:38
谢谢楼主分享!

发帖
1649
今日发帖
最后登录
2024-09-23
只看该作者 地板  发表于: 2022-05-07 22:45:41
谢谢LZ发现
离线939280709

发帖
8
今日发帖
最后登录
2023-06-24
只看该作者 地下室  发表于: 2022-05-21 15:31:40
多谢 非常感谢 就是贵了点
离线powertoy

发帖
23
今日发帖
最后登录
2024-09-11
只看该作者 5 发表于: 2022-05-24 13:42:15
大佬有点牛逼啊
软件下载咨询 sdbeta@qq.com
 
离线crskynet

发帖
1309
今日发帖
最后登录
2023-10-07
只看该作者 6 发表于: 2022-05-24 18:59:36
Cadence Spectre 21.1 ISR5 (21.10.303)
离线hplgh

发帖
3
今日发帖
最后登录
2023-09-13
只看该作者 7 发表于: 2022-06-03 12:41:12
购买了,里面没有Spectre 21.10.058,装不了啊
[ 此帖被hplgh在2022-06-03 14:33重新编辑 ]
离线hplgh

发帖
3
今日发帖
最后登录
2023-09-13
只看该作者 8 发表于: 2022-06-03 14:38:37

离线十一111

发帖
1
今日发帖
最后登录
2022-08-02
只看该作者 9 发表于: 2022-08-02 14:48:06
厉害厉害 ,需要回复才能下载?