论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 230383阅读
  • 11回复

[行业软件]Aldec Riviera-PRO 2022.04 Win/Linux [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53288
今日发帖
最后登录
2024-07-04
只看楼主 倒序阅读 使用道具 楼主  发表于: 2022-06-11 09:39:06
Aldec Riviera-PRO 2022.04还包括SystemVerilog和VHDL-2019仿真增强功能。对于 SystemVerilog,增强功能包括对四态积分打包联合、双状态积分打包向量、结构和联合以及固定大小的解包向量、结构和联合的扩展支持。VHDL-2019 的增强功能包括对受保护类型的数组和记录的支持。


Riviera-Pro版本2022.04中的调试和性能增强包括支持Verilog编译器中的新覆盖编译指示,随机化性能增强(针对随机约束的特定情况)以及提高模型绘制到Riviera-Pro的UVM图形窗口中的速度。


Riviera-Pro为创建FPGA和SoC器件的工程师提供验证。该工具通过结合高性能仿真引擎、不同抽象级别的高级调试功能以及对最新语言和验证库标准的支持,实现了测试平台的生产力、可重用性和自动化。


Aldec成立于1984年。这家电子设计验证公司提供专利技术套件,包括:RTL设计、RTL模拟器、硬件辅助验证、SoC和ASIC原型设计、设计规则检查、CDC验证、IP核、高性能计算平台、嵌入式开发系统、需求生命周期管理、DO-254功能验证和军事/航空航天解决方案。


  
首页: https://www.aldec.com

语言: 英语


文件大小: 583 Mb


操作系统: Windows 7/8.x/10.x/RHEL 5.x-7.x 64Bit


PreVersion: Aldec Riviera-PRO 2021.04 Win/Linux



联系 3766906032@qq.com

软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线crskynet

发帖
1316
今日发帖
最后登录
2023-10-07
只看该作者 沙发  发表于: 2022-06-11 12:45:19
Aldec Riviera-PRO 2022.04 Win
离线mypwjclu

发帖
3588
今日发帖
最后登录
2024-07-03
只看该作者 板凳  发表于: 2022-06-12 00:20:15
支持楼主的分享!!!!
离线baobao2002

发帖
336
今日发帖
最后登录
2024-05-26
只看该作者 地板  发表于: 2022-06-12 01:34:33
11111111111111111111111
离线kairimai

发帖
1342
今日发帖
最后登录
2024-07-03
只看该作者 地下室  发表于: 2022-06-12 10:46:14
离线2296811808

发帖
3
今日发帖
最后登录
2024-05-18
只看该作者 5 发表于: 2023-02-22 21:04:14
1111111111111111
软件下载咨询 sdbeta@qq.com
 
离线dgd2019

发帖
8081
今日发帖
最后登录
2024-07-03
只看该作者 6 发表于: 2023-02-27 17:27:20
    
离线stefwlc

发帖
7
今日发帖
最后登录
2023-09-25
只看该作者 7 发表于: 2023-09-23 18:26:21
快给我看看什么玩儿的。
离线stefwlc

发帖
7
今日发帖
最后登录
2023-09-25
只看该作者 8 发表于: 2023-09-23 18:57:33
我购买了邀请码了,怎么下载啊????????
离线scitech999

发帖
1
今日发帖
最后登录
2023-10-24
只看该作者 9 发表于: 2023-10-18 21:34:49
看看能否下载